File:  [ELWIX - Embedded LightWeight unIX -] / embedaddon / libiconv / lib / dec_hanyu.h
Revision 1.1.1.2 (vendor branch): download - view: text, annotated - select for diffs - revision graph
Wed Mar 17 13:38:46 2021 UTC (3 years, 3 months ago) by misho
Branches: libiconv, MAIN
CVS tags: v1_16p0, HEAD
libiconv 1.16

    1: /*
    2:  * Copyright (C) 2001, 2005, 2016 Free Software Foundation, Inc.
    3:  * This file is part of the GNU LIBICONV Library.
    4:  *
    5:  * The GNU LIBICONV Library is free software; you can redistribute it
    6:  * and/or modify it under the terms of the GNU Library General Public
    7:  * License as published by the Free Software Foundation; either version 2
    8:  * of the License, or (at your option) any later version.
    9:  *
   10:  * The GNU LIBICONV Library is distributed in the hope that it will be
   11:  * useful, but WITHOUT ANY WARRANTY; without even the implied warranty of
   12:  * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
   13:  * Library General Public License for more details.
   14:  *
   15:  * You should have received a copy of the GNU Library General Public
   16:  * License along with the GNU LIBICONV Library; see the file COPYING.LIB.
   17:  * If not, see <https://www.gnu.org/licenses/>.
   18:  */
   19: 
   20: /*
   21:  * DEC-HANYU
   22:  */
   23: 
   24: static int
   25: dec_hanyu_mbtowc (conv_t conv, ucs4_t *pwc, const unsigned char *s, size_t n)
   26: {
   27:   unsigned char c = *s;
   28:   /* Code set 0 (ASCII) */
   29:   if (c < 0x80)
   30:     return ascii_mbtowc(conv,pwc,s,n);
   31:   /* Code set 1 (CNS 11643-1992 Plane 1),
   32:      Code set 2 (CNS 11643-1992 Plane 2),
   33:      Code set 3 (CNS 11643-1992 Plane 3) */
   34:   if (c >= 0xa1 && c < 0xff) {
   35:     if (n < 2)
   36:       return RET_TOOFEW(0);
   37:     {
   38:       unsigned char c2 = s[1];
   39:       if (c == 0xc2 && c2 == 0xcb) {
   40:         if (n < 4)
   41:           return RET_TOOFEW(0);
   42:         if (s[2] >= 0xa1 && s[2] < 0xff && s[3] >= 0xa1 && s[3] < 0xff) {
   43:           unsigned char buf[2];
   44:           int ret;
   45:           buf[0] = s[2]-0x80; buf[1] = s[3]-0x80;
   46:           ret = cns11643_3_mbtowc(conv,pwc,buf,2);
   47:           if (ret != RET_ILSEQ) {
   48:             if (ret != 2) abort();
   49:             return 4;
   50:           }
   51:         }
   52:       } else if (c2 >= 0xa1 && c2 < 0xff) {
   53:         if (c != 0xc2 || c2 < 0xc2) {
   54:           unsigned char buf[2];
   55:           buf[0] = c-0x80; buf[1] = c2-0x80;
   56:           return cns11643_1_mbtowc(conv,pwc,buf,2);
   57:         }
   58:       } else if (c2 >= 0x21 && c2 < 0x7f) {
   59:         unsigned char buf[2];
   60:         buf[0] = c-0x80; buf[1] = c2;
   61:         return cns11643_2_mbtowc(conv,pwc,buf,2);
   62:       }
   63:     }
   64:   }
   65:   return RET_ILSEQ;
   66: }
   67: 
   68: static int
   69: dec_hanyu_wctomb (conv_t conv, unsigned char *r, ucs4_t wc, size_t n)
   70: {
   71:   unsigned char buf[3];
   72:   int ret;
   73: 
   74:   /* Code set 0 (ASCII) */
   75:   ret = ascii_wctomb(conv,r,wc,n);
   76:   if (ret != RET_ILUNI)
   77:     return ret;
   78: 
   79:   ret = cns11643_wctomb(conv,buf,wc,3);
   80:   if (ret != RET_ILUNI) {
   81:     if (ret != 3) abort();
   82: 
   83:     /* Code set 1 (CNS 11643-1992 Plane 1) */
   84:     if (buf[0] == 1 && (buf[1] != 0x42 || buf[2] < 0x42)) {
   85:       if (n < 2)
   86:         return RET_TOOSMALL;
   87:       r[0] = buf[1]+0x80;
   88:       r[1] = buf[2]+0x80;
   89:       return 2;
   90:     }
   91: 
   92:     /* Code set 2 (CNS 11643-1992 Plane 2) */
   93:     if (buf[0] == 2) {
   94:       if (n < 2)
   95:         return RET_TOOSMALL;
   96:       r[0] = buf[1]+0x80;
   97:       r[1] = buf[2];
   98:       return 2;
   99:     }
  100: 
  101:     /* Code set 3 (CNS 11643-1992 Plane 3) */
  102:     if (buf[0] == 3) {
  103:       if (n < 4)
  104:         return RET_TOOSMALL;
  105:       r[0] = 0xc2;
  106:       r[1] = 0xcb;
  107:       r[2] = buf[1]+0x80;
  108:       r[3] = buf[2]+0x80;
  109:       return 4;
  110:     }
  111:   }
  112: 
  113:   return RET_ILUNI;
  114: }

FreeBSD-CVSweb <freebsd-cvsweb@FreeBSD.org>